Welcome![Sign In][Sign Up]
Location:
Search - Verilog SPI

Search list

[VHDL-FPGA-VerilogSPI_Code(Verilog)

Description: SPI总线硬件描述语言Verilog下的实现,含主模式和从模式的实现,经过仿真验证,可作为一个单独的模块使用-SPI bus under the Verilog hardware description language to achieve with the main mode and slave mode realization, through simulation, can be used as a separate module uses
Platform: | Size: 5120 | Author: 高兵 | Hits:

[VHDL-FPGA-Verilogspi.tar

Description: SPI(serial port interface)的Verilog/VHDL源代碼,已模擬並驗證。-SPI (serial port interface) of the Verilog/VHDL source code, has been simulated and verified.
Platform: | Size: 116736 | Author: hcjian | Hits:

[VHDL-FPGA-Verilogan485_design_example

Description: AN485_CH-MAX II CPLD 中的串行外设接口主机(verilog SPI)
Platform: | Size: 312320 | Author: zhiqiang | Hits:

[VHDL-FPGA-VerilogSPI_FireWall

Description: verilog spi file with testbench
Platform: | Size: 2934784 | Author: xgh | Hits:

[SCMmcu-cpld-spi

Description: mcu与cpld之间spi接口程序,mcu为master,cpld用verilog写成slave模块-mcu with spi interface program between the CPLD, mcu for the master, cpld written using Verilog slave module
Platform: | Size: 110592 | Author: 叶灿 | Hits:

[Com Portspi

Description: SPI master的verilog代码-Verilog code for SPI master
Platform: | Size: 2048 | Author: xudong | Hits:

[VHDL-FPGA-VerilogSPI

Description: Verilog SPI 源码(来自网络)-Verilog SPI
Platform: | Size: 49152 | Author: lanbow | Hits:

[Otherspi.tar

Description: This is a verilog code used oversampled clock to implement SPI slave. Also include C code for a ARM processor as the SPI master-This is a verilog code used oversampled clock to implement SPI slave
Platform: | Size: 1024 | Author: johnl | Hits:

[Other1

Description: SPI的VERILOG实现,最简单、最实用的程序。里面还有技术文档,包括如何使用还有一些信号的意义。非常有用,我也是辛苦才找到的-The realization VERILOG SPI simplest and most practical program. With technical documentation, including how to use some of the signal. Very useful, I also hard to find
Platform: | Size: 110592 | Author: xiaolu | Hits:

[VHDL-FPGA-Verilogspi

Description: SPI Verilog code with programmable clock
Platform: | Size: 5455872 | Author: sudhir | Hits:

[Program docSPI-in-Verilog-implementation

Description: SPI的Verilog实现(非常的全面和详细,还带有SPI算法的注解).-SPI in Verilog implementation (a very full and detailed, but also with the SPI algorithm annotation).
Platform: | Size: 8192 | Author: 尚林 | Hits:

[VHDL-FPGA-Verilogspi

Description: SPI IP CORE Verilog quartus-SPI IP CORE Verilog quartusii
Platform: | Size: 1487872 | Author: thegreeneyes | Hits:

[VHDL-FPGA-Verilogmodelsim

Description: verilog SPI master 的完整实验报告 仅供参考 切勿抄袭-verilog SPI master
Platform: | Size: 45056 | Author: ying ma | Hits:

[VHDL-FPGA-Verilogspi

Description: this the SPI slave module -this is the SPI slave module
Platform: | Size: 2782208 | Author: David | Hits:

[VHDL-FPGA-Verilogspi

Description: Verilog语言写的SPI接口(层次化设计,便于升级)-The implememt of SPI interface using Verilog HDL
Platform: | Size: 43008 | Author: guorui | Hits:

[VHDL-FPGA-Verilogverilog-SPI-core

Description: 用VerilogHDL写的spi 核的例子-A simple example of SPI core using Verilog HDL
Platform: | Size: 49152 | Author: guorui | Hits:

[Com PortVerilog-SPI

Description: verilog spi code-verilog spi code
Platform: | Size: 45056 | Author: tiger | Hits:

[OtherSPI转I2C

Description: SPI协议至IIC协议转换的verilog代码(SPI protocol to IIC protocol conversion Verilog code)
Platform: | Size: 945152 | Author: 昊天一怪 | Hits:

[VHDL-FPGA-VerilogNitro-Parts-lib-SPI-master

Description: Nitro-Parts-lib-SPI Verilog SPI master and slave
Platform: | Size: 5120 | Author: d.pershin | Hits:

[VHDL-FPGA-VerilogFPGA与SPI接口程序(hdl源代码)

Description: FPGA,VERILOG,SPI串口通信;(FPGA,VERILOG,SPI;;;;;;;;;)
Platform: | Size: 2048 | Author: dl121 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 19 »

CodeBus www.codebus.net